Decimal number to binary in verilog

broken image
broken image

As 1ps is 0.001ns delays are rounded to 3 decimal places.

broken image

(a) For `timescale 1 ns / 1 ps, Delays are rounded to real numbers with three decimal places-or precise to within one thousandth of a nanosecond-because the time_precision argument is “1 ps,” or one thousandth of a nanosecond. I have doubt related to ' Delays are rounded to real numbers with n decimal places ' LRM Section 22.7 :: ' The time_precision argument specifies how delay values are rounded before being used in simulation ' My recent threads have made me realize that I need to brush-up concepts of timeunit and timeprecision.

broken image